VHDL-Forum - Allgemeines

Kryto-Algorithmen in VHDL

Kryto-Algorithmen in VHDL

Hallo !

Ich bin auf der Suche nach einer Art Krypto-IP-CORE-Bibliothek in VHDL.
Ich möchte eine digitale Signatur einer Nachricht erstellen, zum Beispiel mit dem Algorithmus EC-DSA, und diese dann unter Modelsim simulieren.
Weiß jemand, wo man solche Bibliotheken finden kann ?

Gruß
Kuba