VHDL-Forum - Allgemeines

Noob-Frage

Noob-Frage

Moin,
ich fange gerade mit VHDL an und brauche den Block-RAM vom Virtex4-FPGA. Bei den Languages Templates gibt es dafür einen Eintrag, aber der Code fuktioniert nicht (Fehlermeldung ganz unten). Kann mir jemand sagen, was ich da falsch gemacht habe?

Ich wäre für Hilfe sehr Dankbar
LG Peter

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

Library UNISIM;
use UNISIM.vcomponents.all;

entity PCQ is

end PCQ;

architecture Behavioral of PCQ is

RAMB16_inst : RAMB16
generic map (
.......


ERROR:HDLParsers:164 - "C:/Xilinx91i/Projekt/PCQ.vhd" Line 45. parse error,
unexpected IDENTIFIER

Re: Noob-Frage

Hi!

Gibts das Probem noch?
Wenn ja:
Ist das der ganze Programmtext?

Wenn nicht poste mal den Programmtext von Zeile 40 bis 50.

Re: Noob-Frage

also ich habe auch so ein prob :

if rising_edge (mac_clk_in) then
if start_button