-- ************************************************************** -- * Begin of the architecture * -- ************************************************************** architecture testbench_arch of testbench is
-- ************************************************************** -- * Test-Component declaration * -- ************************************************************** signal clock : std_logic := '0'; signal c_a_code : std_logic; signal gps_prn_sn : std_logic; signal Reset : std_logic;
component c_a_code_gen port ( clock : in std_logic; -- Generator clock c_a_code : out std_logic; gps_prn_sn : in std_logic; Reset : in std_logic -- Reset ); end component;
-- ************************************************************** -- * Define the system clock rate * -- ************************************************************** CONSTANT SYS_CLK : Time := 977517 ps; -- 1.023MHz
signal count : std_logic_vector(3 downto 0);
begin
uut : c_a_code_gen port map ( clock => clock, c_a_code => c_a_code, gps_prn_sn => gps_prn_sn, Reset => Reset );