VHDL-Forum - Allgemeines

Umsetzung rising_edge(clk)