VHDL-Forum - Allgemeines

Wie synthetisieren

Wie synthetisieren

Folgende Frage....Ich habe einen VHDL Code geschrieben (für eine Arbeit)
Werde wohl einen FPGA xilinx nehmen...weiß aber noch nicht welchen

Nur WIE spiel ich mein vhdl programm in den FPGA?!?
Ein fertiges Testboard ist ausgeschlossen.
Brauch das ganze 2 mal (sender und empfänger seite)

Bitte um anleitung

danke