VHDL-Forum - Allgemeines

records

records

Guten Abend

Ich habe eine VHDL-component, welche einen std_logic_vector[10:0] output besitzt. Diesen output muss ich struktural mit einem record verbinden, der aus zwei Datentypen mit je st_logic_vector[10:0] (record.a[10:0]) und record.b[10:0]) besteht, wobei ich natürlich nur einen verbinden muss.

Wie implementiere ich dies am besten? Wer kann mir weiterhelfen? Besten Dank!


Gruss
Hans