VHDL-Forum - Anfänger

Bedingung

Bedingung

Hallo alle. Koenten Sie vieleicht sagen, warum in der Simulation die Bedingung mit Vectoren grosse von 32 Bits arbeitet nicht. IF (CNTR_sign < MAXCOUNT) THEN. Beide Signalen sind STD_LOGIC_VECTOR(31 DOWNTO 0). Sie beinhalten verschiedene bool Angaben. Meine Meinung nach diese Bendingung (IF Construct) muss arbeiten - muss vergleichen.

Uberhaupt ich bekomme kein Fehler, sondern die Vergleichoperation arbeitet nicht - das entspricht solche Situation - IF (1