VHDL-Forum - Anfänger

Einführung in VHDL

Einführung in VHDL

Ich soll ein einfaches Bsp. in der VHDL Beschreibungssprache vorstellen!
Hat jemand schon selber Quellcodes zu solchen einfachen Bsp. geschrieben und kann sie mir eventuell schicken?
Muss aber echt was ganz einfaches sein, denn sonst habe ich keine Ahnung!
Danke.