Linear Feedback Shit Register
Ich möchte ein 4bit LFSR aufbauen mit meine DATA als Eingang aufbauen. Nur leider kann ich nicht meinem Eingang XOR in das LFSR verbinden. Es kommt immer folgende Fehlermeldung:
ERROR:HDLParsers:808 - D:/Studium/VHLD-Project/decoder.vhd Line 35. xor can not have such operands in this context.
Kann jemand mir sagen was ich falsch mache? Ich habe den Eingang als bit und das LFSR ist als bit_vector und wenn ich nur ein bit nehme, sollte doch beides gleich sein?
Hier mein Code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.std_logic_1164.all;
entity decoder is
Port ( header_flag : out std_logic;
message_flag : out std_logic;
checksum_flag : out std_logic;
data : in std_logic;
reset : in std_logic;
clk : in std_logic);
end decoder;
architecture Behavioral of decoder is
signal LFSR : bit_vector(3 downto 0);
signal R : bit_vector(3 downto 0);
signal temp: std_logic;
begin
process(clk, reset)
variable feedback: bit;
variable temp: bit;
begin
if reset = '1' then
header_flag