VHDL-Forum - Anfänger

Logikbeschreibungssprache VHDL

Logikbeschreibungssprache VHDL

Hallo Zusammen

Hat jemand zur Logikbeschreibungssprache VHDL einen Tipp für einen guten Link oder ein pdf die ihr mir zulassen kommen könntet!
Habe von dieser Thematik überhaupt keine Ahnung und soll Ende Mai ein Bsp. dazu präsentieren!

Vielen Dank

Re: Logikbeschreibungssprache VHDL

Was genau brahcst Du für Infos?

Probiers mal hier : http://tech-www.informatik.uni-hamburg.de/vhdl/vhdl


Gruesse.

Re: Logikbeschreibungssprache VHDL

Zitat:
Hallo Zusammen

Hat jemand zur Logikbeschreibungssprache VHDL einen Tipp für einen guten Link oder ein pdf die ihr mir zulassen kommen könntet!
Habe von dieser Thematik überhaupt keine Ahnung und soll Ende Mai ein Bsp. dazu präsentieren!

Vielen DankIch soll ein einfaches Bsp. in der VHDL Beschreibungssprache vorstellen!
Hat jemand schon selber Quellcodes zu solchen einfachen Bsp. geschrieben und kann sie mir eventuell schicken?
Muss aber echt was ganz einfaches sein, denn sonst habe ich keine Ahnung!
Danke.