VHDL-Forum - Anfänger

Problem mit VHDL Code

Problem mit VHDL Code

Hallo,
da ich demnächst eine Prüfung schreiben muss in der ein wenig VHDL gefordert wird, hab ich mir mal Active HDL heruntergeladen um mich ein wenig einzuarbeiten.

Doch jetzt komm ich grad ums verrecken nicht mehr weiter.

Mein Ziel ist es eine ganz simple Schaltung, bestehend aus 2 Invertern, welche hintereinander geschaltet werden, zu programmieren.

Ich will einen Inverter benutzen den ich zuvor schon folgenermaßen programmiert habe:

entity inverter is
port( a : in bit;
b : out bit);
end inverter;



architecture inv_bhv of inverter is
begin
b

Re: Problem mit VHDL Code

Hi Razdraz,

deine Component sollte inverter heissen, versuchs mal damit, die umzubenennen.

Gruss,

K.