VHDL-Forum - Anfänger

Signal cannot be synthesized

Signal cannot be synthesized

Hi,

hab folgendes problem.

Habe diesen code geschrieben und kann den nicht synthetisieren.
Kann mir bitte jemand helfen?

architecture Behavioral of test_ent is
constant g_time:time:=3 ns;
constant delay_afect:time:=5 ns;
constant delay_signal:time:=1 ns;
constant delay_speedup:time:=2 ns;

begin
process(vict,afect) is
begin

victout