VHDL-Forum - Anfänger

VHDL Befehlsliste

VHDL Befehlsliste

Hallo!

Hat jemand eine VHDL Befehlsliste für mich?

Danke im vorraus Viktor

Re: VHDL Befehlsliste

Hallo Viktor.

"Schaltungsdesign in VHDL" ist gleich ein ganzes Buch und wie ich finde ein sehr gutes. Hat auch ein Stichwortverzeichnis :
http://www.itiv.uni-karlsruhe.de/opencms/opencms/de/study/vhdl/book/download.html

Ansonsten vielleicht:
http://www.vhdlbuilder.com/index.php?page=reference
oder
http://tech-www.informatik.uni-hamburg.de/vhdl/doc/kurzanleitung/vhdl.pdf



Gruß DaMicha.

Re: VHDL Befehlsliste

Danke!

Wir haben jetzt ein Mach OX Board nur leider kommt hier ne Fehlermeldung die ich nich zu deuten weiß. jemand ne Ahnung??

Danke im Vorraus

Hier das File:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity firstb is

port(
A: in std_logic ;
B: in std_logic ;
C: out std_logic );
end;

architecture firstc of firstb is
begin


if (A='1') -- Hier kommt diese Fehlermeldung jemand ne ahnung was ich falsch mache
then outC

Re: VHDL Befehlsliste

Hallo Viktor,

if Abfragen sind nur innerhalb von Prozessen erlaubt.

Bernd