VHDL-Forum - Anfänger

Vector aufzählen

Vector aufzählen

Ein Hallo an die Profis

Ich sollte in VHDL für einen test einen std_ulogic_vector von 8 bit in einem Loop oder so binär aufzählen lassen, sprich ich beginne bei "00000000" dann "00000001", dann "00000010" bis "11111111".

Geht das so auf die schnelle?

Kann mir da bitte jemand helfen, Bedanke mich ganz herzlich zum Voraus

Gruss
Martin