VHDL-Forum - Anfänger

Von der Seriellen schnittstelle senden und empfangen?

Von der Seriellen schnittstelle senden und empfangen?

Ich suche ein Programm (Quellcode) mit dem ich von einem Xilinx Board (Spartan2)über die serielle schnittstelle senden und empfangen kann (Strings). ich habe auf das Board schon einen quraz drauf gelötet. ich hab mir schon sermod.vhd runtergeladen ich weiß aber leider nicht wie ich die empfangenen daten weiterverarbeiten kann. (Wo sie gespeichert sind) Bitte um hilfe!!! MFG Johannes

Re: Von der Seriellen schnittstelle senden und empfangen?

Nachtrag hier mein Programm (Wo werden die Daten gespeichert die empfangen habe?

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;

entity SerMod is
port (clk : in std_logic;
ClkSel : in std_logic;
Res : in std_logic;
TC : out std_logic;
OutClk16: out std_logic_vector(3 downto 0);
RxD : in std_logic;
TxD :out std_logic;
Test : out std_logic);
PD1 : out std_logic_vector (9 downto 0);
PD2 : out std_logic_vector (9 downto 0);
Mode : out std_logic_vector (1 downto 0);
end SerMod;

architecture BH of SerMod is
signal mclock : std_logic;
signal outbit : std_logic;
signal S_Count: std_logic_vector(8 downto 0);
signal S_Clock16x: std_logic_vector(3 downto 0);
signal S_Clock16x9: std_logic;
signal S_Count9: integer range 0 to 143;
signal S_Init:std_logic;
signal S_Nix:std_logic;
signal S_Nix1:std_logic;
-- signal S_Serin:std_logic;
constant C_TermCount: integer:=11;
constant C_TermCount9600: integer:=143;
begin


--S_Serin