VHDL-Forum - Anfänger

Zähler

Zähler

hi ich wollte die aufgabe 2 lösen http://wwwhni.uni-paderborn.de/fileadmin/hni_eps/GTI_GRA_Klausuren/GTI_DT_Klausur_090808.pdf

zum ersten aufgabenteil (waveform):
meine lösung wäre:
bei der ersten steigenden enable flanke, wird O auf 1 gesetzt, also steigt auch, zudem nimmt BCD den wert "0000" an.
bei der fallenden enable flanke, wird O wieder auf 0 gesetzt.
bei der zweiten steigenden enable flanke wird BCD den wert "0001" haben O ist weiterhin auf 0.

zum zweiten aufgabeteil:
meine lösung wäre:

entity TwoDigitCount is
---port( CLK, RST : in std_logic;
---------------BCD0 : out std_logic_vector(3 downto 0);
---------------BDD1 : out std_logic_vector(3 downto 0));
end entity TwoDigitCount;


architecture myarch of TwoDigitCount is
----component BCD_Counter is
----port( CLK, RST, ENABLE : in std_logic;
------------------------------O : out std_logic;
---------------------------BCD : out std_logic_vector(3 downto 0));

---signal ENABLE2, O2, O3 : std_logic;

---begin
---------ERSTEZAHLCOUNTER : BCD_Counter
---------port map(CLK, RST, ENABLE2, O2, BCD0);

---------ZWEITEZAHLCOUNTER: BCD_Counter
---------port map(CLK, RST, O2, O3, BCD1);
end architecture myarch;


wären meine lösungen richtig?