VHDL-Forum - Anfänger

paralleles Singal seriell ausgeben