VHDL-Forum - Anfänger

*vhd Dateien verknüpfen

*vhd Dateien verknüpfen

Hallo,

ich habe in VHDL einen Quelltext für das Spartan 3A geschrieben.

Der Quelltext ist aber jetzt sehr lang geworden, da ich mehrere kaskadierbare Zähler verwendet habe.

Kann man die Zähler eventuell in andere *.vhd Dateien innerhalb des Projektes auslagern und Schnittstellen zwischen den einzelnen Dateien definieren?

Re: *vhd Dateien verknüpfen

Hallo Antonio,

prinzipiell geht das. -->Component

Wie sieht denn Dein Quellcode aus?
Hänge ihn doch einfach mal als Datei an.

Gruesse,

Michael

Re: *vhd Dateien verknüpfen

Die Datei ist noch nicht ganz komplett und die Zähler sind noch nicht kaskadiert, aber das Prinzip stimmt soweit in der Datei

Re: *vhd Dateien verknüpfen

Du kannst jede Entity oder Architecture (oder Configuration) in ein eigenes File packen. Wenn Du ne Menge an Deklarationen hast (z.B. Aufzählungstypen oder Packages, dann kann man diese auch in ein eigenes File packen (auch wiederum getrennt Package und Package Body).

Re: *vhd Dateien verknüpfen

Zitat: Gast
Du kannst jede Entity oder Architecture (oder Configuration) in ein eigenes File packen. Wenn Du ne Menge an Deklarationen hast (z.B. Aufzählungstypen oder Packages, dann kann man diese auch in ein eigenes File packen (auch wiederum getrennt Package und Package Body).Uups hab die Datei ersz zu spät gelesen. Ggf. könnte man so nen prozess in ne separates Modul packen, aber ind em beispiel würd ich den Code nicht auseinanderreissen. Ist duch auch nicht soooo lang, da hab ich schon schlimmeres gesehen.

Re: *vhd Dateien verknüpfen

ja, das mit dem seperaten Modul klingt gut... Das was ich da programmiert hab ist ja nur ein Beispiel, das ich mir mal angefertigt habe, um gewisse Dinge auszuprobieren. Das ganze wird hinterher viel größer. Nur ich programmier nicht erst ein riesen Programm, um dann hinterher total durcheinander zu kommen...

Wie geht das denn mit dem seperaten Modul? Ich will so eine Art Baukasten machen, in dem ich ein teil zur Auswertung lasse und einzelne Komponenten davor schalten will, um diese auszuwerten.

Re: *vhd Dateien verknüpfen

hat sich erledigt, habs selber raus bekommen