VHDL-Forum - ModelSim

I/O von binaries

I/O von binaries

hallo,

hat einer von euch erfahrung mit dem lesen und schreiben binärer dateien? ich möchte komplett "formatfreie" binaries lesen und schreiben. hab schon hier im forum gesucht und gegooglet, aber ich habe nichts tolles gefunden... ausser kommentaren die sagen "nicht so einfach"

danke im voraus, eem

Re: I/O von binaries

Seit VHDL'93 soll Modelsim binaries lesen/schreiben können. Keine Gewähr für andere Simulatoren. Textio wäre portabler, und umkodierer gibt's wie sand am meer (hexdump, uuencode), aber wir reden hier ja von Hardware. Hust.

Also probier mal so etwas wie...

  subtype byte is integer range 0 to 255;
  type buffer is array of byte;
  type binfile is file of character;

  procedure lesen(data: inout buffer) is
    --file F: binfile open read_mode is "buffer.bin";
    file F: binfile;
    variable C: character;
  begin
    file_open(F, "buffer.bin", read_mode);
    for i in data'range loop
      read(F, C);
      data(i) := character'POS(C);
    end loop;
    file_close(F);
  end procedure;


Ich hoffe dass der Ansatz was bringt, ich bitte um Rückmeldung hier im Forum.

Peter

Re: I/O von binaries

کاشی استخری
سرامیک استخری
قیمت کاشی استخری
سرامیک کف
قیمت سرامیک کف
انواع سرامیک کف
خرید سرامیک کف
سرامیک کف پارکینگ
سرامیک پارکینگ
سرامیک کف اتاق خواب
سرامیک اتاق خواب
سرامیک کف حمام
سرامیک حمام