VHDL-Forum - ModelSim

according to the title, we can only