VHDL-Forum - Syntax

link between SIGNED type (simple)