Elektronischer Würfel
Hallo,
hab einen Problem mit Programieren eines Elektronischen Würfels mittels VHDL. Das ist die Angabe:
Nach Bätegigung '1' des Einganges START, soll sich der 7 Bit breiter Ausgang zwischen den definierten Werten ändern. Nach Rücksetzen diesen Einganges '0' soll der Ausgang die Werte noch eine Zeit "t" ändern und dann sollen diese stehen bleiben. Nach dem stillstand des würfels soll der Wert bis zum nächsten Start am Ausgang angezeigt werden.
Die Anzeige erfolgt nur über der TESTBENCH.
Ich habe schon den Anfang gemacht wobei ich nicht weiss wie ich die definierte Werte am Ausgang schnell änndrn soll.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.ALL;
use ieee.std_logic_signed.ALL;
entity wuerfel2 is
Port ( Ausgang : out STD_LOGIC_VECTOR (6 downto 0);
clk : in STD_LOGIC;
start: in STD_LOGIC;
Eingang : in STD_LOGIC);
end wuerfel2;
-- Würfeldarstellung LED-Anordnung (Bit 0 bis 6)
--
-- O O (0) (4)
--
-- O O O (1) (3) (5)
--
-- O O (2) (6)
architecture Behavioral of wuerfel2 is
signal ausgang_geaendert: std_logic_vector(6 downto 0);
begin
wuerfeln: process(clk,start)
if start = '1' then
ausgang_geaendert